当前位置:主页 > 科技论文 > 计算机论文 >

PCI-AHB桥接模块设计与验证

发布时间:2024-02-20 13:20
  PCI局部总线,由于其高效性、稳定性和良好的兼容性,使得它被广泛应用于计算机领域。片上总线中最有代表性是AMBA总线,AHB总线则是AMBA总线中的高速总线。因此,本文旨在开发一种PCI到AHB的桥,实现PCI总线和AHB总线之间的数据传输和协议转换。 本文分析了PCI和AHB总线的特点,基于PCI和AHB的总线信号、总线命令和总线操作时序,以状态机设计为核心,设计了一种PCI-AHB桥接系统,该系统包括AHB-Master模块、PCI-Target模块、异步FIFO模块、同步处理模块和配置空间模块。该系统能够解决PCI和AHB总线的桥接问题,实现位宽均为32位、工作频率为33MHz的PCI总线和工作频率为50MHz的AHB总线之间的数据传输。 在以上研究的基础上,完成了PCI-AHB桥接系统电路结构的设计,用Verilog语言进行了RTL级描述;搭建了测试所需的仿真环境;并在VCS平台下对PCI-AHB桥接模块进行了仿真,仿真结果表明所设计的PCI-AHB桥接系统满足设计指标。在SMIC0.13μm工艺下对电路进行综合,该模块面积不足0.004mm2,并已应用到了后续的SoC系统设计...

【文章页数】:64 页

【学位级别】:硕士

【部分图文】:

;图2.2PCI总线读操作时序图;

;图2.2PCI总线读操作时序图;

图2.2PCI总线读操作时序图图2.2是PCI总线读操作时序的一个例子,从中可以看出,一旦FRAME#信号有效,地址相位便开始,并在时钟2的上升沿处稳定有效。在地址相位内,AD[31:0]上包含地址信息,C/BE#[3:0]上包含总线命令信息。数据相位从时钟....


图2.2PCI总线读操作时序图

图2.2PCI总线读操作时序图

图2.2PCI总线读操作时序图图2.2是PCI总线读操作时序的一个例子,从中可以看出,一旦FRAME#信号有效,地址相位便开始,并在时钟2的上升沿处稳定有效。在地址相位内,AD[31:0]上包含地址信息,C/BE#[3:0]上包含总线命令信息。数据相位从时钟....


图2.3PCI总线写操作时序从图中AD和C/BE#的波形可看出,主设备发送数据可以延迟,但字节允许

图2.3PCI总线写操作时序从图中AD和C/BE#的波形可看出,主设备发送数据可以延迟,但字节允许

PCI-AHB桥接模块设计与验证(2)PCI总线上的写操作图2.3所示是PCI总线写操作时序的一个例子。从中可以看出,总线上的写作与读操作相类似,也是FRAME#的有效表示写操作周期中地址相位的开始,地址相位后不需要交换周期,因为数据和地址都是由同一主设备提供的。在....


图2.5AHB总线主机接口框图

图2.5AHB总线主机接口框图

PCI-AHB桥接模块设计与验证.3.1AHB总线信号、AHB主机在AMBA系统中AHB总线主机有最复杂的总线接口。AHB主机主要作为操作的发起者,其接口信号定义如图2.5所示。



本文编号:3904227

资料下载
论文发表

本文链接:https://www.wllwen.com/kejilunwen/jisuanjikexuelunwen/3904227.html


Copyright(c)文论论文网All Rights Reserved | 网站地图

版权申明:资料由用户e8de4***提供,本站仅收录摘要或目录,作者需要删除请E-mail邮箱bigeng88@qq.com